Physical Design Engineer Job at Chelsea Search Group, Minneapolis, MN

dDdnQVhvcEtRdVU3aFBnTnZRK0l5R3QxL0E9PQ==
  • Chelsea Search Group
  • Minneapolis, MN

Job Description

Physical Design Engineer
Must be a US Citizen
Full-time/Direct-hire + Benefits
Minneapolis, MN (onsite/hybrid)

Job Description:
Experienced Place and Route (P&R) Engineer will be responsible for implementing complex digital designs from RTL to GDSII, with a focus on optimizing for power, performance, and area (PPA).

Essential Duties and Qualifications:
• Drive physical implementation of complex ASIC designs through the entire backend flow 
• Develop and optimize floor plans considering power distribution, clock networks, and timing constraints 
• Implement sophisticated clock tree synthesis (CTS) strategies for high-performance designs 
• Perform timing closure activities including setup/hold fixing and optimization 
• Address signal integrity issues, including crosstalk and IR drop analysis 
• Develop and maintain P&R methodology and run scripts 
• Collaborate with front-end design, verification, and DFT teams 
• Mentor junior engineers on physical design best practices

Qualifications:
• Bachelor’s/Master’s in Electrical Engineering/Computer Science or equivalent
• 5+ years of direct industry experience with Analog and Mixed-Signal Layout
• Extensive experience with industry-standard P&R tools (Cadence Innovus and Synopsys ICC2) 
• Strong understanding of advanced technology nodes (7nm and below) 
• Proficiency in: 
- Static timing analysis and timing closure techniques
- TCL/Python scripting for automation
- Power analysis and optimization
- Design constraint development
- ECO implementation
• A self-starter with the ability to assume leadership roles
• Ability to work well in a diverse team environment
• Experience with industry standard development tools and methodologies

Tools & Technologies:
• Proficiency in EDA tools: 
- Cadence Innovus/Synopsys ICC2
- Tempus/PrimeTime
- Voltus/RedHawk
- Calibre/ICV
• Scripting languages: 
- TCL
- Perl/Python
- Shell scripting

#PhysicalDesign

Job Tags

Full time,

Similar Jobs

Newport Associates

(Work From Home) Scheduling Assistant Job at Newport Associates

 ...Provide outstanding service via phone, email, and other channels to assist with guest inquiries, reservation changes, and cancellations....  .... Why This Opportunity? Flexible Schedule : Set your work hours to match your lifestyle, whether you're an early riser or... 

Integrated Health

Medical Receptionist Job at Integrated Health

 ...The Medical Receptionist is responsible for providing excellent customer service to patients of the practice. This person works as part of...  ...Preferred). Requirements: High school diploma/GED. Experience using electronic medical record systems. Demonstrated... 

U.S. Army 6th Medical Recruiting Battalion

ORTHOPEDIC SURGEON Job at U.S. Army 6th Medical Recruiting Battalion

 ...ORTHOPEDIC SURGEON Employer U.S. Army 6th Medical Recruiting Battalion Location San Francisco, California Closing date Nov 20, 2025 View more categories View less categories Specialty Hours Position Type You need to sign in or create an account... 

Waste Management

CDL Truck Driver - No Experience - Residential - 5000 Sign On Bonus - 2205287 Job at Waste Management

 ...invest in you!$5,000 Sign-On Bonus!!!! Great Pay (Based on experience) Be home every night and weekend Industry-leading health insurance...  ...Department of Transportation (DOT) requirements as well as all local, state and federal requirements and Company expectations for... 

Amazon Web Services, Inc.

Sr. AM, GenAI Startups, GenAI Startup Team Job at Amazon Web Services, Inc.

 ...cloud. AWS is seeking an experienced Senior Account Manager to lead and continue to expand the business with strategic GenerativeAI startups. The Senior Account Manager will be a key member of the team responsible for providing business leadership and creative...